TTTC's Electronic Broadcasting Service
TTTC Header Image
TTTC's Electronic Broadcasting Service

IEEE Test Technology Educational Program 2016  
(TTEP'16) 

in conjunction with ITC Test Week 2016 
November 13 - 14, 
2016
   Forth Worth Convention Center, Forth Worth, TX, USA

http://ttep.tttc-events.org/ttep/tutorials.html 

EXTENDED REGISTRATION DEADLINE OCT. 24, 2016

ITC 2016 - CALL FOR TUTORIALS PARTICIPATION

Scope


The Test Technology Educational Program (TTEP’16) of the TTTC is offering 12 half-day tutorials during the weekend before the ITC test week. This year, the TTEP tutorials will touch the most important topics of the test scenario, problems and solutions taught by recognized experts of the field. 

You can get detailed information on the TTEP website: http://ttep.tttc-events.org/ttep/tutorials.html

Registration


Register for Test Week Tutorials at the ITC Registration Page http://itctestweek.org/register

Conference, tutorial and workshop registration can all be done with our easy to use on-line registration form.

Program

November 13, 2016 (Sunday)
Morning

Tutorial 1:
TESTING OF TSV-BASED 2.5D- AND 3D-STACKED ICS   


by  Erik Jan MARINISSEN and Krishnendu CHAKRABARTY

Stacked ICs with vertical interconnect containing fine-pitch micro-bumps and through-silicon vias (TSVs) are a hot-topic in design and manufacturing communities. These 2.5D- and 3D-SICs hold the promise of heterogeneous integration, inter-die connections with increased performance at lower power dissipation, increased yield and decreased product cost. This tutorial presents key concepts in 3D technology, terminology, and benefits. We discuss design, test challenges and emerging solutions for 2.5D- and 3D-SICs. Covered topics include overview of 3D integration and trend-setting products such as 2.5D-FPGA, 3D-stacked memory chips, test flows and test content for 3D chips, advanced wafer probing, 3D design-for-test architectures and ongoing IEEE P1838 standardization, and 3D test cost modeling and test-flow selection.


Tutorial 2:
FROM DATA TO ACTIONS: APPLICATIONS OF DATA ANALYTICS IN SEMICONDUCTOR MANUFACTURING & TEST  

by Haralampos STRATIGOPOULOS, Yiorgos MAKRIS

This tutorial seeks to elucidate the utility of data analytics in semiconductor manufacturing and test. Relevant concepts from data analytics theory will be introduced and agglomerated with current practice,                       showcasing their effectiveness on actual case studies with industrial data. A comprehensive survey of the relevant literature (including but not limited to the presenters' own work) will be provided, organized around four themes: (i) Test cost reduction through replacement of expensive tests by inexpensive alternatives and/or elimination of superfluous tests, either statically or adaptively during test application, (ii) Pre-deployment evaluation of candidate test methods through probabilistic test metrics, (iii) Post-production performance calibration through cost-effective knob tuning, and (iv) Yield learning and process monitoring through analysis of process variation impact on wafer-level spatial correlation.

Tutorial 3: 
TEST OPPORTUNITIES AND CHALLENGES FOR SECURE HARDWARE AND VERIFYING TRUST IN INTEGRATED CIRCUITS 

by Domenic FORTE, Mohammad TEHRANIPOOR

The migration from a vertical to horizontal business model has made it easier to introduce vulnerabilities to electronic component design and supply chain. This tutorial discusses the major issues including securing hardware, verifying trustworthiness of ICs, unique key generation, side-channel attacks and will place emphasis on detection/prevention of hardware Trojans and counterfeit electronic parts and how test can help. (i) Introduction to hardware security and trust (physically unclonable functions, true random number generation, hardware Trojans, counterfeit ICs, side-channel attacks, supply chain vulnerabilities), (ii) Background and motivation for hardware Trojan and counterfeit prevention/detection; (iii) Taxonomies related to both topics; (iv) Existing solutions; (v) Open test challenges; (vi) Design for security and trust, (vii) New and unified solutions.

Afternoon

Tutorial 4: 
TESTING OF AUTOMOTIVE IC’S: INTRODUCTION AND ADVANCES 


by Davide APPELLO, Oscar BALLAN, Ernesto SANCHEZ

Electronics content in the car is constantly growing. On top of traditional applications for engine control, transmission, braking/steering, passive safety, body and dashboard also multimedia, advanced driver assistance and car2X segments are rapidly growing. The stability and extended duration in manufacturing of these components makes them very attractive for the industry. Extreme product quality achieved with very low cost is the key challenge. The proposed tutorial covers a broad range of topics which are defining the testability, testing and manufacturing requirements of automotive products. Advanced topics like testing of safety critical and secure devices are proposed beside more traditional topics like testability, test development, qualification, industrialization, burn-in and manufacturing. Relevant industrial cases will be proposed to participants.


Tutorial 5: 
DIAGNOSIS DRIVEN YIELD ANALYSIS 


by Wu-Tung CHENG, Wu YANG, Yu HUANG

Delivering a stable high yield product on time is the ultimate goal for the semiconductor industry. Reaching this goal becomes more and more difficult, especially when cell internal defects become prevalent. The main challenges in the yield analysis process are to identify the systematic issues, find their root causes and select associated devices with the identified systematic defects for further validation by physical failure analysis. This tutorial discusses the methodologies that improve yield of digital semiconductor devices through scan-based test, volume diagnosis and diagnosis driven yield analysis (DDYA).
This gives engineers who work on yield improvement a very fast and highly effective way of defect localization and identification, complementing their traditional and hardware-based methods.



Tutorial 6: 
UNDERSTANDING THE UNIQUE FALLOUT FROM CELL AWARE TESTS

by Adit SINGH

Commercial applications continue to demand ever higher IC quality, most notably a “zero defect” target from automotive manufacturers. However, recent experience with new Cell Aware Tests suggests that current structural tests can miss significant defectivity. This two-part tutorial presents a detailed study of the state-of-the-art techniques directed at targeting “Zero-Defect” IC quality. In part one we explain new fault models, including the Cell Aware methodology, for an in-depth understanding of the actual defects in modern standard cells that are missed by stuck-at and TDF tests but detected by the new tests. Part two introduces innovative statistical adaptive techniques that improve test effectiveness by optimizing the test applied to individual parts. 


November 14, 2016 (Monday)
Morning

Tutorial 7:
MEMORY TEST & REPAIR IN FINFET ERA

by Yervant ZORIAN

Recent growth in content creation has led to an explosion in the use of embedded memories. This tutorial will present the trends and challenges of growing memory content on chip and how to ensure detection of today’s defects upon manufacturing and during life time, including process variation and FinFET specific defects reaching 7nm level. BIST and Repair solutions to address yield optimization, endurance and data retention of failure modes will be presented. Given the tens of thousands of embedded memory instances in today’s SOCs, the tutorial will also cover power management constraints, functional timing implications, test scheduling optimization, and area minimization options.


Tutorial 8:
TEST, DIAGNOSIS, AND ROOT-CAUSE IDENTIFICATION OF FAILURES FOR BOARDS AND SYSTEMS

by Krishnendu CHAKRABARTY, William EKLOW

The gap between working silicon and a working board/system is becoming more significant and problematic as technology scales and complexity grows. The result of this increasing gap is failures at the board and system level that cannot be duplicated at the component level. These failures are most often referred to as “NTFs” (No Trouble Founds). The result of these NTFs can range from higher manufacturing costs and inventories to failure to get the product out of the door. This tutorial provides a detailed background on the nature of this problem and will provide DFT, test, and root-cause identification solutions at board/system level. Practical insights from industry case studies will be highlighted, and recent research from academia can help solving these problems.


Tutorial 9:
MIXED-SIGNAL DFT & BIST: TRENDS, PRINCIPLES, AND SOLUTIONS

by Stephen SUNTER

We analyze recent trends in IC processes and design, and implications for test, then look at trends in testing. Next, we discuss trends in ad hoc DFT and fault simulation, then all relevant IEEE DFT standards: 1149.1, .4, .6, .7, .8, P1149.10, and 1687. The trend analysis concludes with a review of BIST techniques. Addressed circuits include PLL/DLL, ADC/DAC, SerDes/DDR, general I/Os, and last but not least, random analog. Next, seven essential principles of practical analog BIST are presented. Lastly, we discuss practical DFT techniques, ranging from analog defect simulation and the classic analog bus, to oversampling and undersampling methods that greatly improve range, resolution, and reusability.


Afternoon


Tutorial 10:
AUTOMOTIVE RELIABILITY & TEST STRATEGIES

by  Riccardo MARIANI, Navraj NANDRA, Yervant ZORIAN

Given the fast growing automotive semiconductor industry, this tutorial will discuss the implications of automotive test, reliability and functional safety requirements on all aspects of the SOC lifecycle: design, silicon bring up, volume production, and particularly in the field test. Automotive safety critical chips that need multiple field test strategies, such as power-on self-test, periodic in-system self-test and error correction will also be covered. The tutorial will discuss how incorporating self-test and repair infrastructure with high-efficiency capabilities can help minimize the impact on power, performance and area, while addressing the need for less than 10 DPPM. The benefits of selecting an ISO 26262 certified IP to ensure functional safety requirements, while accelerating time to market for SOCs. 


Tutorial 11:
COMBINING STRUCTURAL AND FUNCTIONAL TEST APPROACHES ACROSS SYSTEM LEVELS

by  Artur JUTMAN, Hans-Joachim WUNDERLICH 

This tutorial introduces into the best practices, current challenges and advanced techniques of high quality system-level test and diagnosis. Specialized techniques and industrial standards of testing complex systems (which may correspond to a System-on-Chip, board or interconnected system) are introduced. The reuse for system test of design-for-test structures and test data developed at module level is discussed, including the limitations and research challenges. Structural test methods have to be complemented by functional methods; hence, state-of-the-art and leading edge research for functional testing are covered. Solutions change depending on the scenario (manufacturing test or in-field test) and the goal (test or diagnosis). The tutorial also discusses the role of standards and regulations in the area.


Tutorial 12:
PRACTICES IN HIGH SPEED I/O TESTING

by  Salem ABDENNADHER, Saghir SHAIKH

This tutorial presents the existing industrial techniques to meet the ever increasing test complexity of High Speed IO’s (HSIO). It first describes the basic design of both serial and parallel HSIOs and then presents various testing methods of HSIO, such as timing margining, voltage margining, compensation testing, leakage testing and etc. The examples of all these test methods will be presented with special emphasis on DFT and BIST based approaches of HSIO testing and their suitability to the production level environment.

Additional Information 

Paolo Bernardi

TTEP General Chair 
Politecnico di Torino, I
Tel.: +39 011 564 7183
Fax: +39 011 564 7099
Email: paolo.bernardi@polito.it


Onnik Yaglioglu

TTEP Program Chair 
FormFactor Inc., USA 
Email:  oyaglioglu@formfactor.com

Committee 

GENERAL CHAIR 

  • P. BERNARDI – Politecnico di Torino

PROGRAM CHAIR

  • O. YAGLIOGLU  – FormFactor Inc.

PAST CHAIR

  • D. GIZOPOULOS – University of Athens

FINANCE CHAIR

  • C.-H. CHIANG – Alcatel-Lucent

PUBLICITY CHAIR

  • E. SANCHEZ – Politecnico di Torino

PLANNING CHAIR

  • Y. ZORIAN – Synopsis

INDUSTRIAL RELATIONS CHAIR

  • R. GALIVANCHE – INTEL Corporation

AUDIO/VISUAL CHAIRS

  • S. MENON – INTEL Corporation
  • O. SINANOGLY – NYU in Abu-Dhabi

ELECTRONIC MEDIA CHAIRS

  • S. DI CARLO – Politecnico di Torino
  • A. BOSIO – LIRMM 

ORGANIZING LIASONS

  • C. BOLCHINI – DATE'16
  • D. GIZOPOULOS – LATS'16
  • S. RAVI – VTS'16
  • Y. ZORIAN – ITC'16
  • Y. ZHANG – ATS'16

PROGRAM COMMITTEE
  • Robert C. Aitken – ARM, USA
  • Davide Appello – STMicroelectronics, I
  • Kanad Chakraborty – Lattice Semiconductor, USA
  • Sreejit Chakravarty – LSI logic, USA
  • Kun Young Chung – Samsung, USA
  • Scott Davidson – Oracle, USA
  • Anne E. Gattiker – IBM, USA
  • Kazumi Hatayama – NAIST, J
  • Doug Josephson – Intel Corporation, USA
  • Hans Manhaeve – Qstar, B
  • Amit Majumdar – Xilinx, USA
  • Erik Jan Marinissen – IMEC, B
  • Stephen Sunter – Mentor, USA
  • Baosheng Wang – AMD, USA


For more information, visit us on the web at: http://ttep.tttc-events.org/ttep/tutorials.html 

The Test Technology Educational Program 2016 is sponsored by the Institute of Electrical and Electronics Engineers (IEEE) Computer Society's Test Technology Technical Council (TTTC)



IEEE Computer Society- Test Technology Technical Council

TTTC CHAIR
Michael NICOLAIDIS
TIMA Laboratory - France
Tel. +33-4-765-74696
E-mail michael.nicolaidis@imag.fr

PAST CHAIR
Adit D. SINGH
Auburn University - USA
Tel. +1-334-844-1847
E-mail adsingh@eng.auburn.edu

TTTC 1ST VICE CHAIR
Chen-Huan CHIANG
Alcatel-Lucent - USA
E-mail chen-huan.chiang@alcatel-lucent.com

SECRETARY
Joan FIGUERAS
Un. Politec. de Catalunya - Spain
Tel. +34-93-401-6603
E-mail figueras@eel.upc.es

ITC GENERAL CHAIR
Michael Purtell
Intersil
- USA
Tel. +1-408-372-6015
E-mail m.purtell@ieee.org

TEST WEEK COORDINATOR
Yervant ZORIAN
Synopsys, Inc. - USA
Tel. +1-650-584-7120
E-mail Yervant.Zorian@synopsys.com

TUTORIALS AND EDUCATION
Paolo BERNARDI

Politecnico di Torino
- Italy
Tel. +39-011-564-7183
E-mail paolo.bernardi@polito.it

STANDARDS
Rohit KAPUR

Synopsys
, Inc. - USA
Tel. +1-650-934-1487
E-mail rkapur@synopsys.com

EUROPE
Giorgio DI NATALE
LIRMM - France
Tel. +33-467-41-85-01
E-mail giorgio.dinatale@lirmm.fr

MIDDLE EAST & AFRICA
Ibrahim HAJJ
American University of Beirut - Lebanon
Tel. +961-1-341-952
E-mail ihajj@aub.edu.lb

STANDING COMMITTEES
André IVANOV
University of British Columbia - Canada
Tel. +1-604-822-6936
E-mail ivanov@ece.ubc.ca

ELECTRONIC MEDIA
Giorgio DI NATALE
LIRMM - France
Tel. +33-467-41-85-01
E-mail giorgio.dinatale@lirmm.fr

 

PRESIDENT OF BOARD
Yervant ZORIAN
Synopsys, Inc. - USA
Tel. +1-650-584-7120
E-mail Yervant.Zorian@synopsys.com

SENIOR PAST CHAIR
André IVANOV
University of British Columbia - Canada
Tel. +1-604-822-6936
E-mail ivanov@ece.ubc.ca

TTTC 2ND VICE CHAIR
Rohit KAPUR

Synopsys, Inc.
- USA
Tel. +1-650-934-1487
E-mail rkapur@synopsys.com

FINANCE
Chen-Huan CHIANG
Alcatel-Lucent - USA
E-mail chen-huan.chiang@alcatel-lucent.com

IEEE DESIGN & TEST EIC
André IVANOV
U. of British Columbia - Canada
Tel. +1
E-mail ivanov@ece.ubc.ca

TECHNICAL MEETINGS
Chen-Huan CHIANG
Alcatel-Lucent
- USA
Tel. +1-973-386-6759
E-mail chenhuan@alcatel-lucent.com

TECHNICAL ACTIVITIES
Matteo SONZA REORDA
Politecnico di Torino - Italy
Tel.+39 090 7055
E-mail patrick.girard@lirmm.fr

ASIA & PACIFIC
Kazumi HATAYAMA
NAIST - Japan
Tel.+81-743-72-5221
E-mail k-hatayama@is.naist.jp

LATIN AMERICA
Victor Hugo CHAMPAC
Instituto Nacional de Astrofisica - Mexico
Tel.+52-22-470-517
E-mail champac@inaoep.mx

NORTH AMERICA
André IVANOV
University of British Columbia - Canada
Tel. +1-604-822-6936
E-mail ivanov@ece.ubc.ca

COMMUNICATIONS
Cecilia METRA
Università di Bologna - Italy
Tel. +39-051-209-3038
E-mail cmetra@deis.unibo.it

INDUSTRY ADVISORY BOARD
Yervant ZORIAN
Synopsys, Inc. - USA
Tel. +1-650-584-7120
E-mail Yervant.Zorian@synopsys.com


This message contains public information only. You are invited to copy and distribute it further.

For more information contact the TTTC office or visit http://tab.computer.org/tttc/

To remove or modify your contact information, or to register new users, please click here and follow the on-line instructions.